仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-产品库- 视频

问答社区

单片机温度传感器问题,按理说数码管该显示温度值的呀,然而却是这样的

平伟丽sunny 2015-10-05 08:27:01 408  浏览
  •  

参与评论

全部评论(3条)

  • caisi219 2015-10-06 00:00:00
    程序有问题

    赞(1)

    回复(0)

    评论

  • ooggrree 2015-10-06 00:00:00
    什么型号的传感器?

    赞(16)

    回复(0)

    评论

  • nssvnsbvnbv 2015-10-06 00:00:00
    你好!肯定是你数码管驱动部分的程序有问题! 发一下原理图和程序帮你看看

    赞(17)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

单片机温度传感器问题,按理说数码管该显示温度值的呀,然而却是这样的
 
2015-10-05 08:27:01 408 3
怎么用单片机将光纤温度传感器测得的温度信号变成可以显示的具体温度值
 
2013-10-15 10:08:15 287 4
FPGA,频率计,数码管显示问题!
做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in... 做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in6,in5,in4,in3,in2,in1,in0:in std_logic_vector(3 downto 0); lout7:out std_logic_vector(7 downto 0); SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); clk:in std_logic ); end display; architecture phtao of display is signal s:std_logic_vector(2 downto 0); signal lout4:std_logic_vector(3 downto 0); begin process (clk) begin if (clk'event and clk='1')then if (s="111") then s<="000"; else s<=s+1; end if; end if; sel<=s; end process; process (s) begin case s is when "000"=>lout4<=in6; when "001"=>lout4<=in5; when "010"=>lout4<=in4; when "011"=>lout4<="0000"; when "100"=>lout4<=in3; when "101"=>lout4<=in2; when "110"=>lout4<=in1; when "111"=>lout4<="0000"; when others=>lout4<="XXXX"; end case; case lout4 is when "0000"=>lout7<="00111111"; when "0001"=>lout7<="00000110"; when "0010"=>lout7<="01011011"; when "0011"=>lout7<="01001111"; when "0100"=>lout7<="01100110"; when "0101"=>lout7<="01101101"; when "0110"=>lout7<="01111101"; when "0111"=>lout7<="00000111"; when "1000"=>lout7<="01111111"; when "1001"=>lout7<="01100111"; when "1010"=>lout7<="00111111"; when "1111"=>lout7<="01000000"; when others=>lout7<="XXXXXXXX"; end case; end process; end phtao; 展开
2015-07-04 01:06:37 628 2
单片机做频率计数码管的显示C语言程序
#include float time=0; float freq=0; unsigned char dsh=0; unsigned char dsz=0; unsigned char dsl=0; main() {EA=1; ET0=1; ET1=1; TMOD=0x061; TH0=-1000/256; TL0=-1000%256; TH1=0xfb; TL1=0xfb; TR0=1; TR1=1; while...
2010-06-19 23:43:52 484 2
单片机温度传感器一段程序问题
这个函数的作用是什么下面是定义和该函数#include#includetypedefunsignedcharU8;//用U8代替unsignedchartypedefunsignedintU16;//用U16代替unsignedintU8U8FLAG... 这个函数的作用是什么 下面是定义和该函数 #include #include typedef unsigned char U8; //用U8代替unsigned char typedef unsigned int U16; //用U16代替unsigned int U8 U8FLAG; U8 U8count,U8temp; U8 U8T_data_H,U8T_data_L,U8RH_data_H,U8RH_data_L,U8checkdata; U8 U8T_data_H_temp,U8T_data_L_temp,U8RH_data_H_temp,U8RH_data_L_temp,U8checkdata_temp; U8 U8comdata; DS18B20=P2^0; void COM(void) { U8 i; for(i=0;i<8;i++) { U8FLAG=2; while((!DS18B20)&&U8FLAG++); Delay_10us(); Delay_10us(); Delay_10us(); U8temp=0; if(DS18B20) U8temp=1; U8FLAG=2; while((DS18B20)&&U8FLAG++); //超时则跳出for循环 if(U8FLAG==1)break; //判断数据位是0还是1 //如果高电平高过预定0高电平值则数据位为1 U8comdata<<=1; U8comdata|=U8temp; //0 } } 展开
2015-11-22 09:06:48 338 2
温度传感器的量程为0-600°,请问能显示零下的温度值吗?
 
2012-01-11 10:11:02 230 2
简单的数码管显示电压表怎么做
 
2018-11-23 14:25:55 299 0
fpga做频率计,用数码管显示是出现了这样的情况 是怎么回事?
细看能看出来那个1里面还有一个不怎么亮的3,这几个数字都有重影
2015-05-16 03:57:49 396 2
为什么电信宽带测速,显示的却是联通?
 
2012-04-25 23:08:49 365 2
单片机LM35温度传感器接AD转换的运算公式问题
我用wd(无符号字符型)=20.0*P0/51能够正确的算出温度值,但是使用wd=20*P0/51就不能算出正确的温度值,请问这是怎么回事?个人感觉是单片机C语言程序还有点问题没搞清楚?求高手指教... 我用wd(无符号字符型)=20.0*P0/51能够正确的算出温度值,但是使用wd=20*P0/51就不能算出正确的温度值,请问这是怎么回事? 个人感觉是单片机C语言程序还有点问题没搞清楚?求高手指教 我用的单片机是AT89S52 急求!!!!!! 展开
2012-03-15 12:47:16 550 1
51单片机温度控制模块需要买温度传感器吗?
 
2017-12-08 09:22:50 491 2
从温度传感器取出温度存入单片机哪些寄存器?
 
2012-04-17 05:18:17 261 3
单片机温度控制系统之LED显示调试
由于本人没有参与这次的设计所以不知道LED显示的调试应该怎么写,请达人帮忙下,显示电路是由74LS164所构成的(是数码显示)存储器芯片为AT89C51本人只要求LED的显示部分的调试报告所... 由于本人没有参与这次的设计 所以不知道LED显示的调试应该怎么写,请达人帮忙下 ,显示电路是由74LS164所构成的(是数码显示) 存储器芯片为AT89C51 本人只要求LED的显示部分的调试报告 所以请各位Q友看清楚在答, 设计要求: 1 温度设定范围40——90°C Z小区分度1°C 标定误差≤1°C 2 用十进制数码显示水的实际温度(我们的设计中用到3个显示) 3 环境温度降低时,温度控制的静态误差≤1°C 展开
2013-04-07 03:55:04 355 2
51 与温度传感器DS18B20开始显示85的问题·
开始有1秒左右的时间显示85°··然后才是正确的温度·怎么能跨过这个85度呢·?请高手回答···汇编实现,已经试过了延时···和二次读取·效果不理想... 开始有1秒左右的时间显示85°·· 然后才是正确的温度· 怎么能跨过这个85度呢·? 请高手回答· · · 汇编实现, 已经试过了延时···和二次读取· 效果不理想 展开
2008-05-16 09:21:54 457 2
科龙空调显示温度问题
为什么遥控器上显示温度,空调上不显示呢???这是什么原因... 为什么遥控器上显示温度,空调上不显示呢???这是什么原因 展开
2015-10-28 13:30:19 437 3
51单片机C语言编写自行车测速仪用数码管显示速度和路程
 
2017-02-28 10:40:32 404 3
数码管显示的频率计拜托了各位 谢谢
数码管显示的频率计,不是毕业论文,是简单的课程设计,从哪可以找到简单点的报告交给老师,急,麻烦解答下
2014-06-21 20:19:05 310 1
数字温度计的数码管不显示数值怎么办
 
2010-09-11 12:13:01 284 1
51单片机 温度控制 1602显示 DS18B20读取温度
有偿程序要求:恒温控制... 有偿程序 要求:恒温控制 展开
2011-03-18 10:20:05 462 4
单片机用余晖效应实现6个数码管的动态显示(计时器)
要同时亮起6个数码管就得用余晖效应。每个数码管之间亮起的间隔为1ms。但是这个药怎么写代码。为什么我看一本书中的代码就只是用if-else这个语句而已。感觉并没有体现出用定时器来控制的感觉。
2018-04-05 23:37:56 681 1

5月突出贡献榜

推荐主页

最新话题