FPGA,频率计,数码管显示问题!
-
做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in... 做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in6,in5,in4,in3,in2,in1,in0:in std_logic_vector(3 downto 0); lout7:out std_logic_vector(7 downto 0); SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); clk:in std_logic ); end display; architecture phtao of display is signal s:std_logic_vector(2 downto 0); signal lout4:std_logic_vector(3 downto 0); begin process (clk) begin if (clk'event and clk='1')then if (s="111") then s<="000"; else s<=s+1; end if; end if; sel<=s; end process; process (s) begin case s is when "000"=>lout4<=in6; when "001"=>lout4<=in5; when "010"=>lout4<=in4; when "011"=>lout4<="0000"; when "100"=>lout4<=in3; when "101"=>lout4<=in2; when "110"=>lout4<=in1; when "111"=>lout4<="0000"; when others=>lout4<="XXXX"; end case; case lout4 is when "0000"=>lout7<="00111111"; when "0001"=>lout7<="00000110"; when "0010"=>lout7<="01011011"; when "0011"=>lout7<="01001111"; when "0100"=>lout7<="01100110"; when "0101"=>lout7<="01101101"; when "0110"=>lout7<="01111101"; when "0111"=>lout7<="00000111"; when "1000"=>lout7<="01111111"; when "1001"=>lout7<="01100111"; when "1010"=>lout7<="00111111"; when "1111"=>lout7<="01000000"; when others=>lout7<="XXXXXXXX"; end case; end process; end phtao; 展开
全部评论(2条)
-
- shunhua804 2015-07-05 00:00:00
- 你是共阴极还是共阳极数码管?估计是这个地方错了
-
赞(10)
回复(0)
-
- _943459939 2015-07-12 00:00:00
- 数码管共阴共阳的编码不一样,还有数码管abcdefg编码的顺序跟引脚分配是否对应。
-
赞(7)
回复(0)
热门问答
- FPGA,频率计,数码管显示问题!
- 做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in... 做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in6,in5,in4,in3,in2,in1,in0:in std_logic_vector(3 downto 0); lout7:out std_logic_vector(7 downto 0); SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); clk:in std_logic ); end display; architecture phtao of display is signal s:std_logic_vector(2 downto 0); signal lout4:std_logic_vector(3 downto 0); begin process (clk) begin if (clk'event and clk='1')then if (s="111") then s<="000"; else s<=s+1; end if; end if; sel<=s; end process; process (s) begin case s is when "000"=>lout4<=in6; when "001"=>lout4<=in5; when "010"=>lout4<=in4; when "011"=>lout4<="0000"; when "100"=>lout4<=in3; when "101"=>lout4<=in2; when "110"=>lout4<=in1; when "111"=>lout4<="0000"; when others=>lout4<="XXXX"; end case; case lout4 is when "0000"=>lout7<="00111111"; when "0001"=>lout7<="00000110"; when "0010"=>lout7<="01011011"; when "0011"=>lout7<="01001111"; when "0100"=>lout7<="01100110"; when "0101"=>lout7<="01101101"; when "0110"=>lout7<="01111101"; when "0111"=>lout7<="00000111"; when "1000"=>lout7<="01111111"; when "1001"=>lout7<="01100111"; when "1010"=>lout7<="00111111"; when "1111"=>lout7<="01000000"; when others=>lout7<="XXXXXXXX"; end case; end process; end phtao; 展开
- fpga做频率计,用数码管显示是出现了这样的情况 是怎么回事?
- 细看能看出来那个1里面还有一个不怎么亮的3,这几个数字都有重影
- 数码管显示的频率计拜托了各位 谢谢
- 数码管显示的频率计,不是毕业论文,是简单的课程设计,从哪可以找到简单点的报告交给老师,急,麻烦解答下
- 单片机做频率计数码管的显示C语言程序
- #include
float time=0; float freq=0; unsigned char dsh=0; unsigned char dsz=0; unsigned char dsl=0; main() {EA=1; ET0=1; ET1=1; TMOD=0x061; TH0=-1000/256; TL0=-1000%256; TH1=0xfb; TL1=0xfb; TR0=1; TR1=1; while...
- 基于FPGA 的简易频率计设计
- 基于FPGA 的简易频率计设计 利用FFT模块(点数512)对信号进行频谱分析,用SignalTap 显示频谱 设计程序找出频率值。
- 急求基于FPGA的频率计设计
- 要求:测量范围0.1HZ到50MHZ,测频精度万分之一,脉宽测试范围0.1s到1s,占空比精度1%到99%。(注:软件用的是quartus 2)。 各程序的作用介绍详细点(特别是上面几个要求的地方),我会加分的,当然能完成编译和仿真的,我会加的更多。可以百度附件发给我。Z... 要求:测量范围0.1HZ到50MHZ,测频精度万分之一,脉宽测试范围0.1s到1s,占空比精度1%到99%。(注:软件用的是quartus 2)。 各程序的作用介绍详细点(特别是上面几个要求的地方),我会加分的,当然能完成编译和仿真的,我会加的更多。可以百度附件发给我。Z后,谢谢。 展开
- 简单的数码管显示电压表怎么做
- 频率计程序 数码管闪烁严重 请教怎么修改 谢谢
- //程序说明:这是6位数显频率计,外部方波接到单片机的P3.4(T0),通过数码管显示所测的频率 #include
#include #define uchar unsigned char #define unit unsigned int #define ulong unsigned long //uchar code dispbit[]={... //程序说明:这是6位数显频率计,外部方波接到单片机的P3.4(T0),通过数码管显示所测的频率 #include #include #define uchar unsigned char #define unit unsigned int #define ulong unsigned long //uchar code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; // 定义位选 uchar code dispbit[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; // 定义位选 uchar code dispcode[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x89,0xbf};//定义段码 uchar dispbuf[8]={0,0,0,0,0,0,11,10}; //显示缓冲 uchar temp[8]; uchar dispcount; uchar T0count;//T0计数 uchar timecount;//时间计数 bit flag; ulong x; void main(void) { TMOD=0x15; TH0=0; TL0=0; TH1=(65536-4000)/256; TL1=(65536-4000)%256; TR1=1; TR0=1; ET0=1; ET1=1; EA=1; while(1) { uchar i; if(flag==1) { flag=0; x=T0count*65536+TH0*256+TL0; for(i=0;i<8;i++) { temp[i]=0; } i=0; while(x/10) { temp[i]=x%10; x=x/10; i++; } temp[i]=x; for(i=0;i<6;i++) { dispbuf[i]=temp[i]; } timecount=0; T0count=0; TH0=0; TL0=0; TR0=1; } } } void t0(void) interrupt 1 using 1//T0工作在计数状态下,T0的Z大计数频率为250KHz { T0count++; } void t1(void) interrupt 3 using 2 { TH1=(65536-4000)/256; TL1=(65536-4000)%256; timecount++; if(timecount==250) { TR0=0; timecount=0; flag=1; } P0=dispcode[dispbuf[dispcount]]; P2=dispbit[dispcount]; dispcount++; if(dispcount==8) { dispcount=0; } } 展开
- 基于FPGA的高精度DDS频率计的设计
- 课题要求是 基于FPGA设计输出100KHz到1MHz +/- 1KHz的正弦波频率, 1KHz步进,并用数模转换器差分电路等得到稳定的正弦波输出。 (1) FPGA可采用CYCLONE。(2) 熟悉Verilog 和VHDL (3) 可通过数码管或LCD显示相关频率数据。 求各路大神指点迷津 有消息请... 课题要求是 基于FPGA设计输出100KHz到1MHz +/- 1KHz的正弦波频率, 1KHz步进,并用数模转换器差分电路等得到稳定的正弦波输出。 (1) FPGA可采用CYCLONE。(2) 熟悉Verilog 和VHDL (3) 可通过数码管或LCD显示相关频率数据。 求各路大神指点迷津 有消息请联系我详谈 重谢! 展开
- 基于FPGA的频率计或万年历的课程设计
- 我们在做基于FPGA的课程设计 我选的是频率计(万年历也可以) 但是做不出来啊 谁有这个报告 拜托发一份呗 马上就要交了 要求 基于FPGA 使用Verilog HDL 语言编程序 软件是Quartus II 我们用的开发板是DE2-70 有的拜托发一份 看用户名就... 我们在做基于FPGA的课程设计 我选的是频率计(万年历也可以) 但是做不出来啊 谁有这个报告 拜托发一份呗 马上就要交了 要求 基于FPGA 使用Verilog HDL 语言编程序 软件是Quartus II 我们用的开发板是DE2-70 有的拜托发一份 看用户名就知道怎么发了 拜托了 展开
- 求 基于FPGA的等精度频率计设计 毕业论文
- 采用等精度测频原理进行数字频率计设计,小车了对被测信号计数产生的误差,测量精度得以提升。本课题要求完成基于FPGA的等精度频率计的应用方案设计,并在DE2-70上进行验证。 参数要求: 测量信号为方波,频率范围:1HZ~9999Hz 测量误差<0.017% 采用液晶模... 采用等精度测频原理进行数字频率计设计,小车了对被测信号计数产生的误差,测量精度得以提升。本课题要求完成基于FPGA的等精度频率计的应用方案设计,并在DE2-70上进行验证。 参数要求: 测量信号为方波,频率范围:1HZ~9999Hz 测量误差<0.017% 采用液晶模块进行显示,显示刷下时间1~3秒可调 具有清零功能 各位大神可以发我QQ邮箱 395107842@qq.com 谢谢了!! 展开
- 数字温度计的数码管不显示数值怎么办
- 对于FPGA初学者该怎样理解频率计的闸门信号
- C8051F020和FPGA,等精度频率计,FPGA通过SPI把八位数据发到单片机,要怎么
- C8051F020和FPGA,等精度频率计,FPGA通过SPI把八位数据发到单片机,要怎么编程,是在FPGA这边编还是单片机这边编,还是两边都要?要求是硬的SPI,不是软SPI
- 单片机温度传感器问题,按理说数码管该显示温度值的呀,然而却是这样的
- 用fpga做个频率计测量正弦波要加ad模块吗
- 基于FPGA的频率计设计 毕业论文。。请高手帮忙,有重谢。。
- 通过FPGA运用VHDL编程设计一个数字式频率计,精度范围在1HZ~10KHz,给出实现代码和仿真波形。(运用的软件QUARTUSII) 采用单片机、FPGA等设计并制作一台数字显示的简易频率计。 1.频率计是干什么的? (这是什么东西 1。干什么的 2。参数指标) 2.用FPG... 通过FPGA运用VHDL编程设计一个数字式频率计,精度范围在1HZ~10KHz,给出实现代码和仿真波形。(运用的软件QUARTUSII) 采用单片机、FPGA等设计并制作一台数字显示的简易频率计。 1.频率计是干什么的? (这是什么东西 1。干什么的 2。参数指标) 2.用FPGA 模块化 3.软件介绍 4.总结+附录 参考资料 展开
- 请问光电传感器上数码管显示的数字指的是什么?
- 51单片机做频率计,为什么两个数码管一直是00无法检测到频率
- #include
#define uchar unsigned char #define uint unsigned int uchar f,num,shi,ge; bit flag; sbit dula=P2^6; sbit wela=P2^7; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c... #include #define uchar unsigned char #define uint unsigned int uchar f,num,shi,ge; bit flag; sbit dula=P2^6; sbit wela=P2^7; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void delay(uint z)//延时函数 { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } void display(uchar shi,uchar ge) { dula=1; P0=table[shi]; dula=0; P0=0xff; wela=1; P0=0xfe; wela=0; delay(5); dula=1; P0=table[ge]; dula=0; P0=0xff; wela=1; P0=0xfd; wela=0; delay(5); } void main() {f=0;num=0;
IP=0x02; //T0优先级高
TMOD=0x15; //TI定时。T0计数
TH0=0; //T0初值
TL0=0;
TH1=(65535-45872)/256; //T1初值50ms
TL1=(65535-45872)%256;
EA=1; // 开总中断
ET0=1; // 开定时器T0中断
TR0=1; // 开T0自启动方式开关
ET1=1; // 开定时器T0中断
TR1=1;
while(1)
{if(flag==1)
{shi=f/10;
ge=f%10;
flag=0;
f=0;
TH0=0;
TL0=0;
TR1=1;
} display(shi,ge); } } void T0time() interrupt 1 using 0 //T0计数 { f++; } void T1time() interrupt 3 using 1//定时器 { TH1=(65535-45872)/256; // 重装初值 TL1=(65535-45872)%256; num++; //自加一次 50ms if(num==20) // 判断是否为一秒 { num=0; TR1=0; flag=1; } } 展开
5月突出贡献榜
推荐主页
最新话题
-
- #DeepSeek如何看待仪器#
- 干体炉技术发展与应用研究
- 从-70℃到150℃:一台试验箱如何终结智能...从-70℃到150℃:一台试验箱如何终结智能调光膜失效风险?解决方案:SMC-210PF-FPC温湿度折弯试验箱的五大核心价值1. 多维度环境模拟,覆盖全生命周期测试需求超宽温域:支持-70℃至+150℃的极限温度模拟(可选配),复现材料在极寒、高温、冷热冲击下的性能表现;控湿:湿度范围20%~98%RH(精度±3%RH),模拟热带雨林、沙漠干燥等复杂工况,暴露材料吸湿膨胀、分层缺陷;动态折弯:0°~180°连续可调折弯角度,支持R1~R20弯曲半径设定,模拟实际装配中的微小应力,提前预警裂纹、断裂风险。
参与评论
登录后参与评论